Show simple item record

dc.contributor.authorMukhanov, Len_US
dc.contributor.authorAinsworth, Sen_US
dc.contributor.authorThe 51st Annual International Symposium on Computer Architecture 2024 (ISCA 2024))en_US
dc.date.accessioned2024-08-02T09:12:19Z
dc.date.available2024-04-20en_US
dc.identifier.urihttps://qmro.qmul.ac.uk/xmlui/handle/123456789/98585
dc.description.abstractTemporal prefetching, where correlated pairs of addresses are logged and replayed on repeat accesses, has recently become viable in commercial designs. Arm’s latest processors include Correlating Miss Chaining prefetchers, which store such patterns in a partition of the on-chip cache. However, the state-of-the-art on-chip temporal prefetcher in the literature, Triage, features some design inconsistencies and inaccuracies that pose challenges for practical implementation. We first examine and design fixes for these inconsistencies to produce an implementable baseline. We then introduce Triangel, a prefetcher that extends Triage with novel sampling-based methodologies to allow it to be aggressive and timely when the prefetcher is able to handle observed long-term patterns, and to avoid inaccurate prefetches when less able to do so. Triangel gives a 26.4% speedup compared to a baseline system with a conventional stride prefetcher alone, compared with 9.3% for Triage at degree 1 and 14.2% at degree 4. At the same time Triangel only increases memory traffic by 10% relative to baseline, versus 28.5% for Triage.en_US
dc.titleThe 51st Annual International Symposium on Computer Architecture 2024en_US
dc.typeConference Proceeding
dc.rights.holder© 2024. The Author(s)
pubs.notesNot knownen_US
pubs.publication-statusAccepteden_US
dcterms.dateAccepted2024-04-20en_US
rioxxterms.funderDefault funderen_US
rioxxterms.identifier.projectDefault projecten_US
rioxxterms.funder.projectb215eee3-195d-4c4f-a85d-169a4331c138en_US


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record